当前位置: 首页 > news >正文

FPGA 使用 CLOCK_DEDICATED_ROUTE 约束

使用 CLOCK_DEDICATED_ROUTE 约束
CLOCK_DEDICATED_ROUTE 约束通常在从一个时钟区域中的时钟缓存驱动到另一个时钟区域中的 MMCM PLL 时使
用。默认情况下, CLOCK_DEDICATED_ROUTE 约束设置为 TRUE ,并且缓存 /MMCM PLL 对必须布局在相同的时钟区
域中。
注释: 在使用 UltraScale 器件工作时,勿在端口直接驱动的网络上应用 CLOCK_DEDICATED_ROUTE 属性。相反,将 CLOCK_DEDICATED_ROUTE 属性应用到 IBUF
输出。
当从一个时钟区域中的时钟缓存驱动垂直相邻时钟区域中的 MMCM PLL 时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 BACKBONE UltraScale
件的 CLOCK_DEDICATED_ROUTE 设置为 SAME_CMT_COLUMN 。这可以防止实现错误,并确保时钟仅使用全局时钟资源进行布线。以下示例显示了在垂直相邻时钟
区域中驱动两个 PLL 的时钟缓存。
set_property CLOCK_DEDICATED_ROUTE SAME_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X0Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X0Y4 [get_cells PLLE3_ADV_inst_1]
当从时钟缓存驱动到不垂直相邻的其他时钟区域时,必须将 7 系列器件的 CLOCK_DEDICATED_ROUTE 设置为 FALSE
UltraScale 器件的 ANY_CMT_COLUMN 设置为 CLOCK_DEDICATED_ROUTE 。这可以防止实现错误,并确保时钟仅使用
全局时钟资源进行布线。以下示例和图显示了驱动与输入缓存不在同一时钟区域列上的两个 PLL BUFGCE
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets -of [get_pins BUFG_inst_0/O]]
set_property LOC PLLE3_ADV_X1Y0 [get_cells PLLE3_ADV_inst_0]
set_property LOC PLLE3_ADV_X1Y4 [get_cells PLLE3_ADV_inst_1]
http://www.lryc.cn/news/530136.html

相关文章:

  • 《解锁AI黑科技:数据分类聚类与可视化》
  • Java小白入门教程:Object
  • 记6(人工神经网络
  • stm32硬件实现与w25qxx通信
  • 编程题-最接近的三数之和
  • 索引的底层数据结构、B+树的结构、为什么InnoDB使用B+树而不是B树呢
  • 【工欲善其事】利用 DeepSeek 实现复杂 Git 操作:从原项目剥离出子版本树并同步到新的代码库中
  • 网络编程套接字(中)
  • 前端学习-事件委托(三十)
  • 线程池以及在QT中的接口使用
  • c语言操作符(详细讲解)
  • 【自然语言处理(NLP)】深度学习架构:Transformer 原理及代码实现
  • JavaScript 入门教程
  • 浅析CDN安全策略防范
  • 代码随想录刷题day22|(字符串篇)344.反转字符串、541.反转字符串 II
  • python学opencv|读取图像(五十三)原理探索:使用cv.matchTemplate()函数实现最佳图像匹配
  • win10部署本地deepseek-r1,chatbox,deepseek联网(谷歌网页插件Page Assist)
  • 冯·诺依曼体系结构
  • 本地部署 DeepSeek-R1 模型
  • Mybatis——sql映射文件中的增删查改
  • 【开源免费】基于Vue和SpringBoot的流浪宠物管理系统(附论文)
  • nth_element函数——C++快速选择函数
  • DNS缓存详解(DNS Cache Detailed Explanation)
  • 课设:【ID0022】火车票售票管理系统(前端)
  • Ruby 类和对象
  • Java基础知识总结(三十八)--读取数据
  • 交错定理和切比雪夫节点的联系与区别
  • 大数据相关职位介绍之三(数据挖掘,数据安全 ,数据合规师,首席数据官,数据科学家 )
  • GitHub Actions定时任务配置完全指南:从Cron语法到实战示例
  • Van-Nav:新年,将自己学习的项目地址统一整理搭建自己的私人导航站,供自己后续查阅使用,做技术的同学应该都有一个自己网站的梦想