当前位置: 首页 > news >正文

FPGA | Verilog仿真VHDL文件

当VHDL模块中有Generic块时,应该怎么例化?

VHDL模块代码

entity GenericExample isgeneric (DATA_WIDTH : positive := 8;  -- 泛型参数:数据宽度ENABLE_FEATURE : boolean := true  -- 泛型参数:是否启用特定功能);Port ( clk : in STD_LOGIC;reset : in STD_LOGIC;data_in : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);data_out : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end GenericExample;

Verilog仿真代码

// 实例化泛型实体
GenericExample #(.DATA_WIDTH     (8          ),    // 设置数据宽度为8     .ENABLE_FEATURE (1          )     // 启用特定功能            )                                                                UUT(                                                             .clk            (clk        ),                               .reset          (reset      ),                               .data_in        (data_in    ),                               .data_out       (data_out   )                                );
http://www.lryc.cn/news/151573.html

相关文章:

  • 微服务--Gatway:网关
  • Django传递dataframe对象到前端网页
  • iOS swift5 弹出提示文字(停留1~2s)XHToastSwift
  • Spring Bean 的生命周期,如何被管理的
  • MATLAB算法实战应用案例精讲-【概念篇】量子机器学习
  • 【kubernetes】Argo Rollouts -- k8s下的自动化蓝绿部署
  • vue Cesium接入在线地图
  • OBS Studio 30.0 承诺在 Linux 上支持英特尔 QSV,为 DeckLink 提供 HDR 回放功能
  • springboot整合SpringSecurity
  • 最近在搭建ELK日志平台时,logstash报错JSON parse error
  • 某次护网红队getshell的经历
  • C#实现日期选择器、显示当地时间、跑马灯等功能
  • 如何让看书变听书?
  • pytorch异常——loss异常,不断增大,并且loss出现inf
  • Lua学习(一)
  • Python:列表推导式
  • 应急三维电子沙盘数字孪生系统
  • LeetCode每日一题:1654. 到家的最少跳跃次数(2023.8.30 C++)
  • 数据结构例题代码及其讲解-栈与队列
  • 【Spark】Pyspark RDD
  • 数学建模:Logistic回归预测
  • 一个面向MCU的小型前后台系统
  • 软件外包开发人员分类
  • HTML 元素被定义为块级元素或内联元素
  • 单调递增的数字【贪心算法】
  • gnuradio-hackrf_info.exe -FM频率使用
  • JVM学习(三)--生产环境的线程问题诊断
  • PHP数组处理$arr1转换为$arr2
  • ATF(TF-A)安全通告 TFV-10 (CVE-2022-47630)
  • 详解 SpringMVC 中获取请求参数