当前位置: 首页 > news >正文

牛客网Verilog刷题——VL54

牛客网Verilog刷题——VL54

  • 题目
  • 答案

题目

  实现一个深度为8,位宽为4bit的双端口RAM,数据全部初始化为0000。具有两组端口,分别用于读数据和写数据,读写操作可以同时进行。当读数据指示信号read_en有效时,通过读地址信号read_addr读取相应位置的数据read_data,并输出;当写数据指示信号write_en有效时,通过写地址信号write_addr 和写数据write_data,向对应位置写入相应的数据。
  模块的信号接口图如下:

在这里插入图片描述

  模块的时序图如下:

在这里插入图片描述

  输入输出描述。

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
read_enwireInput1读使能信号,高电平表示进行读操作
write_enwireInput1写使能信号,高电平表示进行写操作
read_addrwireInput8读地址
write_addrwireInput8写地址
write_datawireInput4写数据,在写使能信号拉高时,同时输入写数据
read_dataregOutput4读数据

答案

  在题目中,并未指出数据的读写操作是同步的还是异步的,从题目中给出的时序图看,题目要求在读使能有效的该时钟内读出数据,比如在读使能read_en有效时,地址为0,立刻读出地址0中的数据1,地址为1,则立刻读出地址1中的数据2,可见时序图要求的是异步读,但是在程序中,我们设置为同步读,仿真也是通过的(个人觉得题目有问题,没有指出读写是同步还是异步,仿真也有问题)。

`timescale 1ns/1ns
module ram_mod(input clk,input rst_n,input write_en,input [7:0]write_addr,input [3:0]write_data,input read_en,input [7:0]read_addr,output reg [3:0]read_data
);
integer i;
reg [3:0] mem [255:0];//写操作
always @(posedge clk or negedge rst_n)if(!rst_n)for(i=0;i<256;i=i+1) beginmem[i] <= 'd0;endelse if(write_en)mem[write_addr] <= write_data;//同步读操作
always @(posedge clk or negedge rst_n) if(!rst_n)read_data <= 'd0;else if(read_en)read_data <= mem[read_addr];elseread_data <= 'd0;//异步读操作
/*
always @(*) if(read_en)read_data = mem[read_addr];elseread_data = 'd0;
*/endmodule
http://www.lryc.cn/news/105002.html

相关文章:

  • 学习系统编程No.34【线程同步之信号量】
  • SolidUI社区-Snakemq 通信源码分析
  • 【大数据之Flume】四、Flume进阶之复制和多路复用、负载均衡和故障转移、聚合案例
  • 前端学习--vue2--插槽
  • 使用 Docker Compose 部署 Redis Cluster 集群,轻松搭建高可用分布式缓存
  • 在Spring Boot框架中集成 Spring Security
  • 登月再进一步:Apollo自动驾驶的里程碑
  • 嵌入式一开始该怎么学?学习单片机
  • Spring事件监听器ApplicationListener
  • 安全学习DAY10_HTTP数据包
  • 云原生落地实践的25个步骤
  • Stable diffusion 三大基础脚本 提示词矩阵,载入提示词,XYZ图表讲解
  • uniapp uni-combox 下拉提示无匹配项(完美解决--附加源码解决方案及思路)
  • 10. Mybatis 项目的创建
  • 历年 Nobel prize in Physics (诺贝尔物理学奖)简介
  • IDEA中Git面板操作介绍 变基、合并、提取、拉取、签出
  • Android Studio开发简易APP添加代办事项
  • python 统计所有的 仓库 提交者的提交次数
  • 018-从零搭建微服务-系统服务(五)
  • HarmonyOS 开发基础(三)登录页面单向数据绑定(父组件向子组件传参)
  • 发npm包
  • <el-empty>
  • IO流(4)- 序列化流与反序列化流
  • 人工智能如何应对 DevOps 监控和可观测性挑战
  • 数字化新时代,VR全景拍摄与制作
  • uniapp 权限说明
  • 3D Web轻量化渲染开发工具HOOPS Communicator是什么?
  • 心法利器[93] | 谈校招:技术面
  • excel英语翻译让你的数据更容易被理解
  • RK3588S之CPU--benchmark(二)