当前位置: 首页 > news >正文

FPGA基础 -- Verilog语言要素之格式

在 Verilog HDL 中,格式(Format)指的是代码的书写结构与排版风格,它不影响语义正确性,但对代码可读性、可维护性、团队协作、调试效率有极大影响。格式良好的 Verilog 代码可以大大减少出错概率,提升代码复用效率与综合仿真效率。


一、Verilog 格式的核心要素

1. 缩进风格

  • 推荐使用 4空格缩进,不要使用制表符(Tab),以保证跨编辑器的一致性。
  • 所有块结构(如 begin ... endcasealways)应正确缩进。

✅ 示例:

always @(posedge clk or negedge rstn) beginif (!rstn)data_out <= 0;else if (enable)data_out <= data_in;
end

2. 模块结构布局规范

统一模块书写顺序如下,便于文档生成与逻辑解读:

// 模块声明
module <module_name> (input  wire clk,input  wire rstn,output wire valid
);// 参数定义
parameter WIDTH = 8;// 端口说明(如是中间变量)
input  wire        clk;
input  wire        rstn;
output wire        valid;// 内部信号
reg [WIDTH-1:0] counter;// 主逻辑块
always @(posedge clk or negedge rstn) beginif (!rstn)counter <= 0;elsecounter <= counter + 1;
endendmodule

3. 对齐与空格使用

  • 运算符 =, <=, ==, +, - 左右加空格
  • port listparametersignal 推荐对齐统一宽度

✅ 示例:

assign valid   = (counter == 8'd255);
assign data_ok = valid && ready;

4. 代码块结构布局

4.1 if-else结构
if (cond) begin// ...
end else begin// ...
end
4.2 case结构
case (state)IDLE:   next_state = READ;READ:   next_state = WRITE;WRITE:  next_state = IDLE;default: next_state = IDLE;
endcase
4.3 FSM 状态块统一分段
// 状态寄存器
always @(posedge clk or negedge rstn)if (!rstn)state <= IDLE;elsestate <= next_state;// 状态转移
always @(*) begincase (state)IDLE:   next_state = start ? READ : IDLE;READ:   next_state = ready ? WRITE : READ;WRITE:  next_state = done ? IDLE : WRITE;default: next_state = IDLE;endcase
end

二、命名风格(风格虽属格式范畴,但非常关键)

类型命名风格说明
端口名i_clk, o_datai_ 表示输入,o_ 表示输出
寄存器reg_data, reg_valid以 reg_ 开头
线网(wire)w_data, w_ack表示组合逻辑线
状态机STATE_IDLE, STATE_WAIT全大写、可枚举
宏定义 `WIDTH, `ADDR_BITS全大写,统一风格

三、空行与逻辑分组

合理使用空行提升可读性,建议:

空行前后推荐操作
module/endmodule 外层空两行
always/initial 块之间空一行
parametersignal 段之间空一行
case 分支之间可空一行以突出逻辑层次

四、格式化工具推荐

为了团队规范一致,推荐使用以下格式化工具自动规整 Verilog 代码:

工具简介链接
VeribleGoogle 开源的 Verilog 格式化工具,支持 lint+formathttps://github.com/chipsalliance/verible
vlogfmt简单命令行 Verilog 格式化工具https://github.com/igorski/vlogfmt
Emacs/Modesim IDE 插件各种 HDL modeEmacs HDL Mode
VSCode 插件:Verilog-HDL/SystemVerilog自动缩进/跳转Visual Studio Code Extension

五、不良格式案例(反例)

module test(clk,rstn,din,dout);reg[7:0]data;always@(posedge clk or negedge rstn)begin if(!rstn)data<=0;else data<=din;end assign dout=data;endmodule

❌ 问题:

  • 缩进缺失
  • 空格乱用
  • alwaysassign 写在一行
  • 信号无说明

六、建议配套格式标准文档

团队合作建议统一一份《Verilog 代码书写规范》文档,涵盖:

  • 文件头部模板(含作者、模块描述)
  • 命名规则表
  • 缩进与排版标准
  • 注释规范
  • 工具与检查流程

示例代码片段(格式良好)

// ------------------------------
// Module : edge_detector
// Desc   : 检测上升沿
// ------------------------------
module edge_detector (input  wire clk,input  wire rstn,input  wire sig_in,output wire rise_edge
);reg sig_d;always @(posedge clk or negedge rstn) beginif (!rstn)sig_d <= 0;elsesig_d <= sig_in;
endassign rise_edge = sig_in & ~sig_d;endmodule
http://www.lryc.cn/news/571083.html

相关文章:

  • IPv6中的ARP“NDP协议详解“
  • Cesium快速入门到精通系列教程十:实现任意多个蜂巢似六边形组合
  • 内存泄漏到底是个什么东西?如何避免内存泄漏
  • 【企业容灾灾备系统规划】
  • 算法 学习 排序 2025年6月16日10:25:37
  • 用元框架思维,系统化构建你的专属AI助手Prompt
  • wpf 队列(Queue)在视觉树迭代查找中的作用分析
  • 记一次 .NET 某SaaS版CRM系统 崩溃分析
  • C#/.NET/.NET Core技术前沿周刊 | 第 42 期(2025年6.9-6.15)
  • 基于 C# 和 .NET 的 Spread.NET 数据处理实战
  • 深度学习入门指南:从基础概念到代码实践
  • vscode snippet 工程模板文件分享
  • CentOS 7 环境下 Visual Studio Code 安装与部署
  • 高防 IP 是如何帮助数藏行业防刷的
  • Objective-C与Swift混合编程
  • UDP访问DNS
  • Ubuntu 22.04离线安装Docker和NVIDIA Container Toolkit(使用gpu)
  • “智眸·家联“项目开发(一)
  • 【Java】抽象类与接口全解析
  • 【寻找Linux的奥秘】第十章:基础文件IO(上)
  • RGB解码:神经网络如何通过花瓣与叶片的数字基因解锁分类奥秘
  • 【云计算领域数学基础】组合数学优化
  • 基于nacos和gateway搭建微服务管理平台详细教程
  • elementui响应式数据类型变更情况
  • CVPR 2025最佳论文详解|VGGT:纯前馈Transformer架构,3D几何感知「大一统」模型来了!
  • FPGA基础 -- Verilog语言要素之值集合
  • Flutter - 原生交互 - 相机Camera - 曝光,缩放,录制视频
  • 【JSON-To-Video】AI智能体开发:为视频图片元素添加动效(滑入、旋转、滑出),附代码
  • 光谱相机的多模态成像技术详解
  • 数据仓库面试题合集⑥