当前位置: 首页 > news >正文

github有趣项目:Verilog在线仿真( DigitalJS+edaplayground)

DigitalJS

  • https://github.com/tilk/digitaljs
  • 这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys(这里是 Github 存储库),它有一个配套项目 yosys2digitaljs,它可以转换 Yosys 将文件输出到 DigitalJS。它也旨在成为一种教学工具, 因此,可读性和易于检查是 项目。

创建文件

  • SystemVerilog 提供了更多高级功能,尤其是在验证方面,使其在现代设计流程中更具优势。SystemVerilog 向后兼容 Verilog,这意味着现有的 Verilog 代码可以在 SystemVerilog 环境中运行,而无需大幅修改。
    在这里插入图片描述
  • 创建一个sv文件
    在这里插入图片描述
    在这里插入图片描述

编写代码

module adder (input logic [3:0] a,  // 4-bit 输入 a , logic用于替代 reg(寄存器,过程赋值)和 wire (线网,连续赋值)input logic [3:0] b,  // 4-bit 输入 boutput logic [4:0] sum // 5-bit 输出 sum,考虑到可能的进位
);assign sum = a + b; // 进行加法运算
endmodule

在这里插入图片描述

Run

在这里插入图片描述

加载示例

在这里插入图片描述
在这里插入图片描述

edaplayground使用教程

  • https://github.com/edaplayground/eda-playground
  • https://www.edaplayground.com/

注册账户

在这里插入图片描述

  • Register
    在这里插入图片描述
  • https://www.edaplayground.com/register
  • 经过测试,学生邮箱是可以用的
    在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

运行D触发器示例

在这里插入图片描述

可更换仿真工具

在这里插入图片描述

波形查看和分析

在这里插入图片描述

  • 如果想使用EPWave,需要再testbench中加入类似如下的控制语句
initial begin$dumpfile("dump.vcd"); $dumpvars;end

在这里插入图片描述

http://www.lryc.cn/news/362264.html

相关文章:

  • 性能测试学习-基本使用-元件组件介绍(二)
  • 基于大模型的智慧零售教育科研平台——技术方案
  • C# using的几个用途
  • MyBatis3.4全集笔记
  • IDEA一键启动多个微服务
  • 【Python3】random.choices 权重随机选取 详解
  • 【面试题-015】Redis的线程模型是什么 为什么速度快
  • EasyV开发人员的使用说明书
  • 构造列表初始化和构造初始化区别
  • Message passing mechanism (消息传递机制)
  • 详解 Spark SQL 代码开发之数据读取和保存
  • Pulsar 社区周报 | No.2024-05-30 | BIGO 百页小册《Apache Pulsar 调优指南》
  • 第二证券股票杠杆:4分钟直线涨停!这一赛道,AH股集体爆发!
  • JavaScript 进阶征途:解锁Function奥秘,深掘Object方法精髓
  • 斜拉桥智慧施工数字孪生
  • 【chatGPT API】Function Calling:将自然语言转换为API调用或数据库查询
  • Oracle Hint /*+APPEND*/插入性能总结
  • 正邦科技(day3)
  • mac电脑多协议远程管理软件:Termius 8.4.0激活版下载
  • 网络攻击的常见形式
  • ReactDOM 18版本 使用createRoot 替换render详解
  • 【赠书活动】好书推荐—《详解51种企业应用架构模式》
  • SpringBoot启动时使用外置yml文件
  • 【开源三方库】Fuse.js:强大、轻巧、零依赖的模糊搜索库
  • vue从入门到精通(六):数据代理
  • 【C++修行之道】类和对象(二)类的6个默认成员函数、构造函数、析构函数
  • 【LeetCode热题100总结】239. 滑动窗口最大值
  • 【YOLOv9改进[Conv]】使用YOLOv10的空间通道解耦下采样SCDown模块替换部分CONv的实践 + 含全部代码和详细修改内容
  • 简单小游戏制作
  • Delphi