当前位置: 首页 > news >正文

vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多
计数器举例

mkdir src

counter.v

module counter(input wire clk,input wire rst_n,output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)if(!rst_n)cnt <= 31'h0;elsecnt <= cnt+1;endmodule  

tb.v

module tb;
wire[31:0] out;
reg clk;
reg rst_n;initial begin#10 clk <= 1'b0;#10 rst_n = 1'b0;#10 rst_n = 1'b1;#5000 $finish;
endalways #1 clk = ~clk;counter c1(clk,rst_n,out);endmodule  

编译 创建模拟snapshot

mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本
xsim_cfg.tcl

 log_wave -recursive *run allexit  
xsim tb --tclbatch xsim_cfg.tcl

打开gui

xsim --gui tb.wdb

在这里插入图片描述

http://www.lryc.cn/news/279598.html

相关文章:

  • Java并查集设计以及路径压缩实现
  • 【leetcode】力扣算法之删除链表中倒数第n个节点【中等难度】
  • C51--摇头测距小车
  • vue中slot和template用法传值
  • SQL性能分析-整理
  • 常用计算电磁学算法特性与电磁软件分析
  • PLC数组队列搜索FC(SCL代码+梯形图程序)
  • NUS CS1101S:SICP JavaScript 描述:前言、序言和致谢
  • 软件测试常见问题2
  • WPF XAML(一)
  • 每日一题:LeetCode-LCR 007. 三数之和
  • 四元数傅里叶变换(Quaternion Fourier Transforms) 在信号和图像处理中的应用
  • vue项目之.env文件.env.dev、test、pro
  • Fabric2.2:在有系统通道的情况下搭建应用通道
  • 测试人员必备基本功(2)
  • 第十二章 Java内存模型与线程(一)
  • C# WPF 数据绑定
  • 进程和线程的比较
  • 深入理解 Flink(四)Flink Time+WaterMark+Window 深入分析
  • 科技创新领航 ,安川运动控制器为工业自动化赋能助力
  • 图像异或加密及唯密文攻击
  • React Grid Layout基础使用
  • 第11章 1 文件及IO操作
  • Tomcat服务实例部署
  • 高精度彩色3D相机:开启崭新的彩色3D成像时代
  • 借助Gitee将typora图片上传CSDN
  • 几件奇怪的事产生的疑团
  • 陶瓷碗口缺口检测-图像增强
  • gitee创建远程仓库并克隆远程仓库到电脑
  • 3D人体姿态估计(教程+代码)