当前位置: 首页 > news >正文

FPGA基础 -- Verilog行为级建模之时序控制

Verilog 行为级建模(Behavioral Modeling)中“时序控制”机制的系统化由浅入深培训内容,适用于初学者到进阶 FPGA 设计工程师的学习路径。


🎯 一、行为级建模的定位

行为级建模(Behavioral Modeling)是 Verilog 中最高抽象层次的建模风格,强调 “做什么”而不是“怎么做”,常用于:

  • 快速原型
  • 高效仿真
  • 逻辑功能建模
  • 高层模块验证(非综合路径)

在综合设计中,只要遵循综合友好写法,行为级建模同样可用于综合,例如用于状态机、流水线控制逻辑等。


⏱️ 二、时序控制的三种主流语法机制

行为级建模中的时序控制定义了语句何时执行,是理解 RTL 精髓的关键。

1. 阻塞式赋值 = 与 非阻塞赋值 <=

类型用途执行顺序应用场景
=阻塞赋值顺序执行组合逻辑建模
<=非阻塞赋值并发调度寄存器建模(时序)

✅ 最佳实践:

  • 时序逻辑中使用 <=
  • 组合逻辑中使用 =

2. 时间控制语句

⏳ (1) #delay(模拟延迟,仿真专用
#5 a = b;  // 表示延迟5个时间单位后执行

说明:不能综合,只用于仿真分析电路行为。

⏰ (2) 事件控制(@
@(posedge clk) a <= b;    // 上升沿触发
@(negedge rstn) ...       // 下降沿触发
@(*)                      // 任意输入变化触发(组合逻辑)

✅ 用于建模同步触发逻辑、异步复位、组合逻辑敏感列表等。

⏸ (3) 等待语句 wait(expr)
wait(en == 1) data <= value;

延迟直到 en == 1 为真再执行下一语句(仿真有效,综合一般不支持


🔁 三、综合友好的时序控制结构范式

1. 寄存器建模结构(同步时序逻辑)

always @(posedge clk or negedge rstn) beginif (!rstn)q <= 0;elseq <= d;
end

2. 状态机建模结构

// 状态寄存器
always @(posedge clk or negedge rstn)if (!rstn)state <= IDLE;elsestate <= next_state;// 状态转移逻辑
always @(*) begincase (state)IDLE:    next_state = start ? WORK : IDLE;WORK:    next_state = done  ? IDLE : WORK;...endcase
end

行为建模在状态转移中非常常见,next_state 是组合逻辑,state 是同步更新。


🎓 四、从行为到结构的优化思维

设计时,我们可以先用行为建模快速验证逻辑功能,然后再结构化、管线化、时序优化

行为建模RTL建模优化
使用 @ 表达边沿敏感拆分为多个 always 块
描述控制流,如 if/wait/case分离数据路径与控制路径
写测试驱动器后续替换为时序精确 RTL 驱动器

📐 五、典型设计例子:握手时序控制(行为描述)

always @(posedge clk or negedge rstn) beginif (!rstn)valid <= 0;else if (ready && data_valid)valid <= 1;else if (done)valid <= 0;
end

这是一个典型的行为级“握手有效窗口”控制逻辑,之后可以重构为:

  • FSM 控制 valid 输出周期
  • 插入 pipeline 优化 setup/hold
  • 添加 timing constraint 保证时序收敛

🛠️ 六、行为建模时序控制的调试建议

问题可能原因调试建议
仿真波形延迟/不一致阻塞/非阻塞混用导致模拟差异=,<=使用要区分清楚
wait() 无效或卡死条件长期不成立$display 打印条件变量状态
@(*) 造成毛刺没列出所有敏感变量显式列出组合逻辑敏感变量
http://www.lryc.cn/news/571826.html

相关文章:

  • markRaw
  • 【java中使用stream处理list数据提取其中的某个字段,并由List<String>转为List<Long>】
  • 爱普特APT32F1104C8T6单片机 高抗干扰+硬件加密双保障
  • 支持向量机(SVM)例题
  • VS Code自动删除末尾空行设置
  • 无需公网IP:Termux+手机+内网穿透实现Minecraft远程多人联机
  • Python从入门到精通
  • Python数据操作
  • Docker搭建RabbitMQ集群环境
  • 机器学习重构光子学设计范式:从智能器件到前沿系统
  • 【力扣每日一题】划分数组并满足最大差限制
  • iOS开发中的安全实践:如何通过Ipa混淆与加固确保应用安全
  • AI 技术落地实战:开发流程优化、行业场景重塑与前沿应用洞察
  • Evertz SVDN 3080ipx-10G Web管理接口任意命令注入及认证绕过漏洞(CVE-2025-4009)
  • Hive 逻辑优化器
  • 持续集成 CI/CD-Jenkins持续集成GitLab项目打包docker镜像推送k8s集群并部署至rancher
  • 京东618带火四大消费 即时零售和生活服务迎来爆发
  • 几种大功率远距传输WiFI解决方案
  • Spring Boot 常用注解整理
  • 支持selenium的chrome driver更新到137.0.7151.119
  • 面向智能制造场景的永磁同步电机预测控制系统设计
  • python大学校园旧物捐赠系统
  • 火山 RTC 引擎12----合流转推 集成
  • (LeetCode 每日一题) 2966. 划分数组并满足最大差限制 (贪心、排序)
  • [Python][Flask][Gunicorn] 搭建一个服务器-初步-小白式教程 - 1
  • 【系统分析师】第3章-基础知识:计算机系统(核心总结)
  • 如何在 MX Linux 上安装 Blender CAD 软件
  • 资源占用多,Linux 系统中如何降低 CPU 资源消耗并提升利用率?
  • 成组进位及其函数
  • 一篇文章快速学会HTML