当前位置: 首页 > news >正文

异步复位同步释放

目录

描述

输入描述:

输出描述:

参考代码


描述

题目描述:  

请使用异步复位同步释放来将输入数据a存储到寄存器中,并画图说明异步复位同步释放的机制原理

信号示意图:

clk为时钟

rst_n为低电平复位

d信号输入

dout信号输出

波形示意图:

输入描述:

clk为时钟

rst_n为低电平复位

d信号输入

输出描述:

dout信号输出

参考代码

`timescale 1ns/1nsmodule ali16(
input  wire clk,
input  wire rst_n,
input  wire d,
output reg dout);reg rst0,rst1;
always @ (posedge clk or negedge rst_n) beginif (!rst_n) beginrst0 <= 0;rst1 <= 0;endelse beginrst0 <= 1; rst1 <= rst0; end
endalways @ (posedge clk or negedge rst1)beginif(!rst1) begindout <= 1'b0;endelse begin dout <= d; end		 
end            endmodule 

http://www.lryc.cn/news/379100.html

相关文章:

  • JupyterLab使用指南(七):JupyterLab使用 LaTeX 生成数学公式
  • docker 环境部署
  • Spring中的ContextPath总结
  • C++设计模式——Composite组合模式
  • Android提供的LruCache类简介(1)
  • 【分布式系列】分布式锁timeout了怎么办?
  • System.getProperty()方法总结
  • 大型语言模型在AMD GPU上的推理优化
  • Apple - Core Foundation Design Concepts
  • lua中的lfs库介绍
  • PyCharm 快捷键积累
  • C++进阶之AVL树
  • sizeof 和 strlen 比较
  • 音视频开发—FFmpeg 打开摄像头进行RTMP推流
  • D触发器(D Flip-Flop)与D锁存器(D Latch)
  • JDK19特性
  • sql语句中常用的函数有那些
  • odoo17 小变更3 Warning、 “attrs “和 “states “不再用
  • Unity3d 游戏暂停(timeScale=0)引起的deltaTime关联的系列问题解决
  • 服务端代码编写中MySql大小写在Java中报错问题解决
  • CRMEB 多店商品详情页装修说明
  • Redis-使用 jedis 操作数据
  • 简说PIP换源
  • django学习入门系列之第三点《CSS基础样式介绍2》
  • 分布式光纤测温DTS在工程现场中稳定性与可靠性如何?
  • PHP多线程模块parallel的编译安装和多线程编程演示
  • 记录grid布局属性
  • 12.爬虫---PyMysql安装与使用
  • VS2022遇到的两个问题
  • 【Android14 ShellTransitions】(六)SyncGroup完成