当前位置: 首页 > news >正文

chisel RegInit/UInt/U

在这里插入图片描述

val reg = RegInit(0.U(8.W))  //ok
val reg = RegInit(0.UInt(8.W))  //err

U 使用在数字 . 后边50.U

UInt 使用在IO(new Bundle val a = Input(UInt(8.W)) 或者 def counter(max:UInt, a1:UInt)

package emptyimport chisel3._
import chisel3.util._class MyCounter extends Module {val io = IO(new Bundle {val a = Input(UInt(8.W))  //val a = Input(U(8.W)) val c = Output(UInt(8.W))})val init = io.adef counter(max:UInt, a1:UInt) ={  //max:U  //errval reg = RegInit(a1)   //ok//val reg = RegInit(0.U(8.W))  //ok//val reg = RegInit(0.UInt(8.W))  //errwhen(reg===max) {reg := 0.U(max.getWidth.W)}.otherwise {reg := reg + 1.U(1.W)}reg  // return value}io.c := counter(50.U, io.a)
}object MyCounterMain extends App {println("Generating the adder hardware")emitVerilog(new MyCounter(), Array("--target-dir", "generated"))
}
http://www.lryc.cn/news/294649.html

相关文章:

  • 华为OD机试真题-田忌赛马-2024年OD统一考试(C卷)
  • QMUI_Android:提升Android开发效率与质量的利器
  • 如何部署Linux AMH服务器管理面板并结合内网穿透远程访问
  • C++文件操作(2)
  • Bootstrap5 图片轮播
  • WINDOWS搭建NFS服务器
  • LeetCode、216. 组合总和 III【中等,组合型枚举】
  • 支持534种语言,开源大语言模型MaLA-500
  • 面试 JavaScript 框架八股文十问十答第一期
  • 【发票识别】新增针对图片发票的识别(升级中)
  • 面试数据结构与算法总结分类+leetcode目录【基础版】
  • 音频二维码怎么制作出来的?支持多种格式音频生码的方法
  • ReactNative实现一个圆环进度条
  • 【JS逆向学习】今日头条
  • Tailwind CSS
  • Go语言每日一练——链表篇(五)
  • 5-4、S加减单片机程序【51单片机+L298N步进电机系列教程】
  • 【安卓跨程序共享数据,探究ContentProvider】
  • abap - 发送邮件,邮件正文带表格和excel附件
  • Ubuntu编译和测试ITK4.13.1
  • 【C语言】简易计算器转移表(函数指针简化)
  • JavaBase持续更新
  • AI专题:海外科技巨头指引,AI主线逻辑依旧坚挺
  • 性能测试工具LoadRunner与登录性能测试分析
  • 作业2024/2/5
  • 聊聊并发编程,另送5本Golang并发编程新书
  • Jgit Packfile is truncated解决方案
  • 为后端做准备
  • 地下停车场智慧监查系统:科技让停车更智能
  • LeetCode每日一题 | 1696. 跳跃游戏 VI