当前位置: 首页 > news >正文

「Verilog学习笔记」游戏机计费程序

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1nsmodule game_count(input rst_n, //异位复位信号,低电平有效input clk, 	//时钟信号input [9:0]money,input set,input boost,output reg[9:0]remain,output reg yellow,output reg red);always @ (posedge clk or negedge rst_n) begin if (~rst_n) remain <= 0 ;  else if (boost) remain <= set ? remain + money : remain < 2 ? remain : remain - 2 ; else remain <= set ? remain + money : remain < 1 ? remain : remain - 1 ; endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) begin yellow <= 0 ; red <= 0 ; endelse begin yellow <= remain && remain < 10 ; red <= boost ? remain < 2 : remain < 1 ;endend
endmodule
http://www.lryc.cn/news/264210.html

相关文章:

  • b站高可用架构 笔记
  • Android: Ubuntu下交叉环境编译常用调试工具demo for lspci命令(ARM设备)
  • 《2023全球IPv6支持度白皮书》近日发布
  • IDEA版SSM入门到实战(Maven+MyBatis+Spring+SpringMVC) -Spring的AOP前奏
  • 2023年度佳作:AIGC、AGI、GhatGPT 与人工智能大模型的创新与前景展望
  • 直播电商“去网红化”势在必行,AI数字人打造品牌专属IP
  • Java如何开发PC客户端(Windows,Mac,Linux)
  • 热红外图像非均匀校正方法
  • 性能压力测试--确保企业数字化业务稳健运行
  • 【Java】7种逻辑运算,你了解几种
  • 达梦到达梦的外部链接dblink(DM-DM DBLINK)
  • create-react-app 打包去掉 map文件
  • fdisk工具详解
  • 【蓝桥杯选拔赛真题81】Scratch旅游相册 第十五届蓝桥杯scratch图形化编程 少儿编程创意编程选拔赛真题解析
  • 水平居中、垂直居中、水平垂直居中
  • flex布局换行后出现间隙问题
  • RPC(3):HttpClient实现RPC之GET请求
  • PHP函数里面写JQ CSS HTML的写法案例
  • 爬虫工作量由小到大的思维转变---<第十八章 Scrapy请求处理与返回策略>
  • 【免费直播今天下午!】见微知著 唤醒视觉:机器视觉与成像应用解决方案,诚邀您的参与!
  • 智商均值回归
  • ChatGPT助力Excel数据分析:让你的工作事半功倍!
  • 多表插入、删除操作(批量)——后端
  • Java操作Word修订功能:启用、接受、拒绝、获取修订
  • 什么是数据仪表板?数据可视化仪表盘怎么制作?
  • HiveServer2
  • YOLOv8改进 | 2023注意力篇 | HAttention(HAT)超分辨率重建助力小目标检测 (全网首发)
  • IDEA Community html文件里的script标签没有syntax highlighting的解决方案
  • 如何获取旧版 macOS
  • vp与vs联合开发-Ini配置文件