当前位置: 首页 > news >正文

C和SystemVerilog联合仿真

想要联合仿真一个c程序和verilog表示的硬件,可以用如下方法(DPI):

  1. 先写一个.c文件funcs.c
#include <stdio.h>
#include "svdpi.h"extern int sayHello();void something() {printf("something\n");sayHello();
}
  1. 再写一个SystemVerilog文件
module haha;export "DPI-C" function sayHello;import "DPI-C" function void something();initial something();function int sayHello ();$display("hello world");sayHello = 1;endfunction
endmodule
  1. 运行
xmverilog hello.sv funcs.c

即可

参考:
https://stackoverflow.com/questions/26861400/systemverilog-how-to-connect-c-function-using-dpi-call-in-vcs-simulator

http://www.lryc.cn/news/150644.html

相关文章:

  • 15-mongodb
  • CSS学习笔记02
  • 为什么Java接口可以多继承,而类不可以?
  • 自动化信息收集工具 水泽 使用教程
  • 2023年全国职业院校技能大赛(高等职业教育组)“信息安全管理与评估”理论技能答案
  • MATLAB 动态图GIF
  • ChatGPT⼊门到精通(4):ChatGPT 为何⽜逼
  • 数据分析基础-数据可视化学习笔记03-可视化的符号与表示-图形符号学
  • 暴力递归转动态规划(四)
  • 大数据项目实战(Sqoop安装)
  • android——spinner下拉弹窗、popupwindow下拉弹窗列表
  • 【阿里淘天】淘天20230824真题一、二 <模拟、双指针>
  • Java注解和反射
  • 【Docker】01-Centos安装、简单使用
  • k8s之存储篇---数据卷Volume
  • 博流RISC-V芯片JTAG debug配置与运行
  • [国产MCU]-W801开发实例-UART控制器
  • OpenCV(九):LUT查找表
  • 2023年 Java 面试八股文(25w字)
  • STM32f103入门(7)pwm驱动led驱动舵机驱动直流电机
  • Linux centos7 bash编程——-求质数和
  • 给Hexo添加说说功能
  • Tensorflow调用训练好的yolov5模型进行推理
  • 【场景方案】我所积累的一些跨页面的数据传递方式,持续更新,欢迎补充~
  • ASP.NET Core 的错误页面
  • Android静态ip设置的坑
  • 电源管理(PMIC)TPS63070RNMR、TPS650942A0RSKR、LM5175RHFR器件介绍、应用及特点。
  • k8s(kubernetes)介绍篇
  • gRPC + Spring Boot 编程教程 - piot
  • 新建Spring Boot项目